代码编织梦想

实验一:开发板点灯实验_io_l19n_t3_vref_35-爱代码爱编程

一 : 建立新的vivado工程 在新建工程资源中,有以下两个文件: top.v :新建的空白verilog工程文件,用作此次实验的rtl顶层 Zybo-Z7-Master.xdc: 对应开发板的芯片引脚约束文件 此次实验,我们的目的是使用开发板集成的switch,来控制开发板的led灯亮灭.  二:代码编写 根据实验目的,代码

spinalhdl 在windows环境下的安装使用方法-爱代码爱编程

安装所需的环境以及软件清单 电脑系统:windows11vscode metals 插件verilog 插件gitjdk-17 msys2  msysmingw 安装参考网址         Install and setup — SpinalHDL documentation  —— spinalHDL Github 文档Java

数字设计之取整_取整函数[0.5]为什么等于1-爱代码爱编程

向上取整(ceil) 无论正负数,向着数值更大的方向取整 例如 ceil (0.5) = 1 ceil (0.1) = 1 ceil (-0.1) = 0 ceil (-0.5) = 0 类似于加1,去掉小数部分 向下取整(floor) 与向上取整方向相反,无论正负数,向着数值更小的方向取整 类似于减1,然后去掉小数部分 floor(

chapter7——处理字节顺序_寄存器 字节顺序-爱代码爱编程

目录 1.定义2.小端模式和大端模式的比较3.处理字节顺序不匹配的问题4.访问32位存储器5.处理字节顺序不匹配6.字节顺序中性代码7.字节顺序中性编码指南 1.定义 字节顺序定义数据在计算机系统中

数字电路中的multi-爱代码爱编程

数字电路中的Multi-bits跨时钟域设计 跨时钟域同步设计跨时钟域处理目标Multi-bits的Clock Domin Crossing (CDC) 电路设计1-bit "Guard" 信号同步multi-

chapter8——消抖技术-爱代码爱编程

目录 1.简介2.开关行为3.开关种类4.消抖5.消抖指南 1.简介 在电子设备内两个金属触点随着触点的断开闭合便产生了多个信号,这就是抖动。“消抖”是用以确保在每一次断开或闭合触点时只有一个信号起

chapter4——时钟分频器-爱代码爱编程

目录 同步整数分频器具有50%占空比的奇数整数分频非整数分频(非50%占空比) 典型情况下SOC要对设计中各种组件提供许多与相位相关的时钟。将主时钟以2为幂次进行分割来产生同步偶数分频时钟,有时也会需

[verilog]verilog经典电路设计(二)-爱代码爱编程

Verilog经典电路设计(二) 2.1 4选1数据选择器 module mux4_l (out, in0, inl, in2, in3, sel); output output; input in0, inl, in2, in3; input [l:0] sel; reg out_data; always @(

chapter5——低功耗设计_动态功耗的三个基本来源是-爱代码爱编程

目录 1.功耗源2.在各设计抽象层次降低功耗3.系统级低功耗技术4.体系结构级降低功耗技术5.在寄存器传输级降低功耗6.寄存器级低功耗技术 1.功耗源 浪涌、静态功耗和动态功耗是三个主要的功耗源。

chapter1——亚稳态的世界_一个器件能够永远维持亚稳定状态-爱代码爱编程

目录 1.亚稳态理论2.亚稳态窗口3.计算MTBF4.避免亚稳态多级同步器时钟倍频电路的多级同步器 5.亚稳态测试电路6.同步器类型7.综合性建议 在异步系统中,由于数据和时钟的关系不是固定的,因

chapter2——时钟和复位_输出时钟锁定信号-爱代码爱编程

目录 1.同步设计2.推荐的设计技术3.时钟方案4.门控时钟方法学5.复位信号的设计策略6.控制时钟偏移 1.同步设计 在同步设计中由单个主时钟和单个主置位/复位信号驱动设计中所有的时序器件,对于A

数字电路中的single-爱代码爱编程

数字电路中的Single-Bit跨时钟域设计 同步时钟&异步时钟的定义Metastable(亚稳态)Metastable的产生原因Setup / Hold Requirement的真正原因Metasta

数字ic设计笔试题汇总(二)_ic笔试题-爱代码爱编程

数字IC设计笔试题汇总(二) 快秋招了,这篇博客记录了一些最近看见的数字IC设计相关的笔试题,仅供参考 1. 在边沿敏感的时序逻辑代码中使用(A)赋值,会导致综合前与综合后仿真结果不一致。 A . 阻塞 B.

【附安装包】multisim 14.0安装教程-爱代码爱编程

软件下载 软件:Multisim版本:14.0语言:简体中文大小:649.68M安装环境:Win11/Win10/Win8/Win7硬件要求:[email protected] 内存@4G(或更高)下载通道①百度网盘丨64位下载链接:h

了解verilog中‘signed‘的作用:处理有符号数-爱代码爱编程

了解Verilog中’signed’的作用:处理有符号数 在Verilog中,数据类型'signed'扮演着重要的角色。它用于处理有符号数,为设计者提供了更丰富的表达能力和灵活性。本文将深入探讨Verilog中'signe

数字电路仿真编译文件指定方式-爱代码爱编程

目录 1 最基本的方式 2 指定多个文件 3. 使用filelist文件 4 指定整个目录中的所有指定后缀的文件 5 指定include文件的搜索路径 6 追加宏的定义 7 Verdi相关的选项 8 Vivado中的处理方式 1 最基本的方式         最基本的方式就是直接在命令行指定单个源文件,比如说(这里以vcs为例

速率控制(rate control, rc)原理简介-爱代码爱编程

速率控制(RATE control, RC) ⚫️速率控制(RATE control, RC)是H265中用于控制传输速率的一种技术,简单来说,就是通过对量化参数QP和拉格朗日因子lambda的控制,使得视频的每秒压缩后的

verilog实现除法器运算-爱代码爱编程

verilog实现除法器运算 本文通过verilog实现了一个位宽参数可配置的除法运算模块 1, 设计思路 我们要计算 a_data/b_data = div_data ----remain_data; 🌿 确定位

数字电路valid-ready握手协议浅析(handshake protocol)-爱代码爱编程

握手协议背景介绍 我第一次接触握手协议,是在一次fpga开发工程师实习面试中。当时面试官通过邮件给我发送了一个题目:实现对握手协议的打拍,要求传输无气泡。作为萌新的我只能查阅互联网。在使用该协议一段时间后,我总结了一些冠以该协议的知识点以及使用该协议时存在的一些问题,在此总结以便于看到此文章的读者使用握手协议设计自己的rtl代码。由于作者经验

数字ic设计工程师一般都干什么-爱代码爱编程

数字IC设计工程师一般都干什么 简单来说,数字IC设计工程师一般就是负责写verilog代码(当然,不是仅仅写个代码)。本文主要对数字IC设计的工作流程进行简单的介绍,也算是对我从业一年来的总结。 一般来说,数字IC设计