代码编织梦想

verdi查看二维数组波形正确操作_verdi二维数组没有波形-爱代码爱编程

使用Verdi查看二维数组波形 在编写verilog设计电路时,需要通过查看波形来进行调试,而在数字IC设计中常用的波形查看工具就是Verdi。 使用makefile脚本语言打开verdi后,常规操作是将鼠标点击要查看的信

Synopsys Formality 2018操作流程-爱代码爱编程

Formality流程 前言一、formality是什么?二、使用步骤 0.打开formality的gui界面1.导入svf文件2.读入verilog文件3.读入网表文件4.setup5.match and verify6.注意事项 前言 由于项目后期需要完成一个rtl和网表之间的形式验证,需要用syn

Formality流程-爱代码爱编程

Formality流程 前言一、formality是什么?二、使用步骤0.打开formality的gui界面1.导入svf文件2.读入verilog文件3.读入网表文件4.setup5.match and verify6.注意事项 前言 由于项目后期需要完成一个rtl和网表之间的形式验证,需要用synopsys的formality来完成,由于

ASIC中IP核的使用——包括VCS和DC-爱代码爱编程

ASIC中IP核的使用——包括VCS和DC memory compilerVCS仿真DC综合用lc将lib文件转为db文件 之前一直想在DC等ASIC中将项目中的寄存器堆换成ram,奈何资源和能力都有限,一直没完成。 最近机缘巧合有条件将这个任务完成了,简单记录并分享一下。 memory compiler ASIC中的ram和FPGA平台

ptpx的averaged和time_based模式的使用-爱代码爱编程

ptpx的averaged和time_based模式的使用 最近刚完成了功耗分析平台的搭建,由于是新手,在整个搭建过程中还是遇到了很多问题,故写一篇博客记录所处理过的问题。 ptpx是primetiming中的一个分析引擎,所以先要将pt跑通,才能继续后面的功耗分析。 pt的脚本很套路,不外乎是先设定文件路径,工艺库路径,再将d