代码编织梦想

hls 三角函数报错:undefined reference to ‘cordic_apfixed::circ_table_arctan_128‘-爱代码爱编程

下面这是很笨的方法,只能解决cos函数不能用的问题。后面我在使用hls::log对ap_fixed类型数据进行计算的时候又出现了新的问题,下面这种方法就不适用了。但是这种方法可以帮我们发现问题出在哪,所以请大家简单浏览一

cadence xrun coverage file_xrun 功能覆盖率-爱代码爱编程

select_coverage -block -expression -toggle -fsm -module cpto_sw_v200_top... select_coverage -covergroup -cg_name reg_cfg_coverport deselect_coverage -all -module cpto_cir... mxd_c

uvm实战:一个component内有多个imp-爱代码爱编程

        对于一个 analysis_imp来说,必须在其实例化的uvm_component中定义一个write的函数。但在 现实情况中,scoreboard除了接收monitor的数据之外,还要接收reference model的数据。相应的scoreboard就要再添加一个 uvm_analysis_imp的IMP,如model_imp。此时问题

cadence xrun uvm makefile_cadence disable_sem2009-爱代码爱编程

# #--============================================================== #-- File name        : Makefile #-- Author           : shzhang #-- Date             : 2023/11/01 #-- Abstract

uvm糖果爱好者教程 -爱代码爱编程

看了XtremeDV对cluelogic.com的“UVM Tutorial for Candy Lovers”系列的翻译,翻译的很棒。 看原文还有两节没有翻译,自己尝试翻译一下,有不合适地方,敬请谅解并帮忙指出,进行修改。 https://blog.csdn.net/zhajio/category_9272893.html Jelly_Bean_T

uvm寄存器前门访问和后门访问理解_uvm寄存器模型前门访问-爱代码爱编程

在uvm中,前门访问(frontdoor)操作是通过寄存器配置总线(比如ahb,apb,i2c协议等)来对dut中的寄存器进行操作。 在任何总线协议中,前门访问的方式只有两种,即写操作和读操作。对于实际焊接在电路板上的工作的

uvm知识点3_uvm cast返回值-爱代码爱编程

Vim 中如何去掉 ^M 字符? 基于 DOS/Windows 的文本文件在每一行末尾有一个 CR(回车)和 LF(换行),而 UNIX 文本只有一个换行,即win每行结尾为\r\n,而linux只有一个\n如果win下的

芯片漫游指南(4) -爱代码爱编程

目录 1 新手上路1.1 概述1.2 序列组件的互动1.3 继承关系1.4 提示1.5 总结 2 Sequence和item2.1 概述2.2 Sequence和Item示例2.3 item与seque

uvm知识点4_generating ralf and uvm register model from ip-爱代码爱编程

uvm_transport_port和 uvm_analysis_port 在 UVM(通用验证方法学)中,uvm_transport_port 和 uvm_analysis_port 是两种不同类型的接口,用于在 UVM

uvm基础-爱代码爱编程

UVM基础 1.UVM概念 (1)是一套完整的UVM库文件; 提供一套完整的UVM库文件: 公共元素(包括类型定义、变量、函数和任务) 核心基类(Core Base Classes) 报告类(Reporting Clas

uvm phase_uvm那些地方可以写build phase-爱代码爱编程

UVM phase: 一般在build phase构建本验证层次,创建下层的组件,在之后的connect_phase做句柄连接、端口连接等任务如果一个低层次的对象使用new()创建,那么写在高层次的new()中或

[uvm ral]_uvm ral get_address-爱代码爱编程

[UVM RAL] 文章目录 [UVM RAL]前言一、分析地址 前言 `思考与学习 一、分析地址 公司用工具根据git上面的reg_table已经生成好了ral 如何看地址 调用c

ahbram验证(3)——ahb master driver初步实现_ahb driver-爱代码爱编程

文章目录 一. lvc_ahb_driver代码二. lvc_ahb_master_driver代码三. lvc_ahb_if 更新 一. lvc_ahb_driver代码 在这段代码中,主要是接收

xrun cadence 常用命令(持续更新)_cadence xrun sdf 仿真-爱代码爱编程

命令含义归属xrun -compile or xmvlog编译verilogcomxrun -compile or xmvhdl编译VHDL xrun -elaborate or xmelab生成仿真snapshot xrun -R or xmsim调用仿真snapshot -64bit64bit仿真com-sv识别systemverilog语法com-v

uvm学习笔记(一)工厂、phase机制、config_uvm 中的wrapper-爱代码爱编程

目录 一、工厂机制1.1 使用步骤1.2 um_coreservice_t类1.3 factory创建component、object实例的方法1.4 UVM创建component、object实例的方法1.5

【uvm】field automation机制中的标志位 uvm_default和uvm_all_on的区别-爱代码爱编程

在阅读代码的时候发现:关于field automation机制中的标志位,有的代码使用了UVM_DEFAULT,而《UVM实战》中没有见过类似的,只有UVM_ALL_ON,查看源代码,发现以下描述: UVM_DEFAULT

uvm中的config_db机制传递interface_uvm_config_db传递interface-爱代码爱编程

(1)定义interface interface my_if(input clk, input rst_n); logic [7:0] data; logic valid; endinterface (2)在tb中使用interface         定义了interface后,在top_tb中实例化DUT时,就可以直接使用。      

pcie的loopback功能配置流程_pcie loopback-爱代码爱编程

1. PCIE控制器loopback配置流程 PCIE控制器LoopBack只是在PCIE控制器内部的LoopBack,具体过程如下: 进入时: a. 配置寄存器GEN3_RELATED_OFF中的Gen3 Equalization Disable比特; b. 配置寄存器PIPE_LOOPBACK_CONTROL_OFF中的PIPE Loopbac

uvm建造测试用例-爱代码爱编程

(1)加入base_test         在一个实际应用的UVM验证平台中,my_env并不是树根,通常来说,树根是一个基于uvm_test派生的类。真正的测试用例都是基于base_test派生的一个类。 class base_test extends uvm_test; my_env env; functio

verdi 覆盖率文件的打开、merge、存储_verdi查看覆盖率-爱代码爱编程

Verdi 覆盖率文件的打开、merge、存储 当一次回归任务结束,会看到【xxx.vdb】文件夹的生成, 每一次回归任务都是提交很多用例(test),每一个用例(test)的代码覆盖率都是单独列出,总