代码编织梦想

有一个一维单元格数组(开或关)。在每个时间步长中,每个单元格的状态都会发生变化。在规则 110 中,每个单元格的下一个状态仅取决于其自身及其两个相邻单元,如下表所示:

思路:将表格用卡诺图化简求出电路表达式

将左记为l,右记为r,中心记为c,最后化简出来的表达式是:res = c&~(l&r) + (~l&r) + (l&r&~c);

module top_module(
    input clk,
    input load,
    input [511:0] data,
    output [511:0] q
); 
    always @ (posedge clk) begin
        if (load)
            q <= data;
        else begin
            for (integer i = 0; i < 512; i++) begin
                if (i==0)
                    q[0] <= (q[i]&~(q[i+1]&0))|(~q[i+1]&0)|(q[i+1]&0&~q[i]);
                else if (i==511)
                    q[511] <= (q[i]&~(0&q[i-1]))|(1&q[i-1])|(0&q[i-1]&~q[i]);
    			else
                	q[i] <= (q[i]&~(q[i+1]&q[i-1]))|(~q[i+1]&q[i-1])|(q[i+1]&q[i-1]&~q[i]);
            end
        end
    end
endmodule

分类讨论一下边界问题即可得出答案。

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/qq_44830822/article/details/130669290

fpga-爱代码爱编程

本文记录本人初学FPGA使用的开发环境 开发板的型号:微相 FPGA 开发板 Z7-Lite 7010 FPGA芯片厂商: XILINX  FPGA芯片型号:xc7z010clg400-1 百度网盘路径: 百度网盘—永久区—02_软件—FPGA相关软件   FPGA集成开发软件 — Vivado         我用的是赛灵

fpga入门系列13--异步串口通信-爱代码爱编程

文章简介 本系列文章主要针对FPGA初学者编写,包括FPGA的模块书写、基础语法、状态机、RAM、UART、SPI、VGA、以及功能验证等。将每一个知识点作为一个章节进行讲解,旨在更快速的提升初学者在FPGA开发方面的能力,每一个章节中都有针对性的代码书写以及代码的讲解,可作为读者参考。 第十四章:异步串口通信 UART 串行接口简称串口,是我们各类

复旦微的 jfm7k325t 国产化设计资料(pcie711)-爱代码爱编程

板卡概述 PCIE711 是一款基于 PCIE 总线架构的高性能数据预处理 FMC载板,板卡采用复旦微的 JFM7K325T FPGA 作为实时处理器,实现 各个接口之间的互联。该板卡可以实现 100%国产化。 板卡具有 1 个 FMC(HPC)接口,1 路 PCIe x8 主机接口,板载 1 组 64 位 DDR3

在学习c51单片机实验七(双机通信及pcb设计)操作keil uvision4和protus的时候遇到的两个坑-爱代码爱编程

第一个问题,这个问题用keil编程经常遇到 特别是懒的时候,对于新手,每次用一个工程文件,因为创建不熟练,就容易出现这个问题 Build target 'Target 1' linking... *** ERROR L104: MULTIPLE PUBLIC DEFINITIONS     SYMBOL:  MAP     MODULE:  shiya

xilinx 7系列fpga内置adc-爱代码爱编程

 Xilinx 7系列FPGA全系内置了一个ADC,称之为XADC。这个XADC,内部是两个1mbps的ADC,可以采集模拟信号转为数字信号送给FPGA内部使用。      XADC内部可以直接获取芯片结温和FPGA的若干供电电压(7系列不包括VCCO),用于监控FPGA内部状况。同时提供了17对差分管脚,其中一对专用的模拟差分输入,16对复用的模拟差分

工业4.0时代来临,powerlink协议在千兆网卡下的性能-爱代码爱编程

“工业 4.0”的高歌猛进, “智能制造”,“智慧工厂”的呼声越来越响亮。这些需求使得数据传输量越来越大,实时性越来越高,因此我们将 POWERLINK 从 100Mbps 升级到1000Mbps。测试下POWERLINK这种工业总线协议的性能,最短通讯周期达到了惊人的9us,只是升级了PHY 芯片到1000Mbps,成本并未明显增加。 1 硬

systemverilog 1. 内建数据类型logic-爱代码爱编程

Verilog 有两种基本数据类型,reg 和wire ,都是4值逻辑 0 1 x z,默认值是x。         reg[7:0] m 为无符号         Integer 为有符号32位         time为64位无符号         real为浮点数 systemverilog新引进了logic,logic既可

xdc约束技巧 之 i/o篇 (上)-爱代码爱编程

        《XDC约束技巧之时钟篇》中曾对I/O约束做过简要概括,相比较而言,XDC中的I/O约束虽然形式简单,但整体思路和约束方法却与UCF大相径庭。加之FPGA的应用特性决定了其在接口上有多种构建和实现方式,所以从UCF到XDC的转换过程中,最具挑战的可以说便是本文将要讨论的I/O约束了。I/O约束的语法         XDC中可以用于I/O约

(209)verilog hdl:设计一个电路之rule 90_verilog rule 90-爱代码爱编程

(209)Verilog HDL:设计一个电路之Rule 90 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog HDL:设计一个电路之Rule 90 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步

(210)verilog hdl:设计一个电路之rule 110_verilog rule110-爱代码爱编程

(210)Verilog HDL:设计一个电路之Rule 110 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog HDL:设计一个电路之Rule 110 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进

fpga目前就业形势咋样?来听听业内工程师的看法_fpga就业前景怎么样-爱代码爱编程

看到网上有一个问题很火:2023了,FPGA目前就业形势咋样?很多同学也对这个方向比较感兴趣,下面就来一起了解一下吧。 FPGA岗位有哪些? 从芯片设计流程来看,FPGA岗位可以分四类 产品开发期:FPGA系统架构师 芯

基于单光子探测的高重频多通道符合测距_单光子测距仿真 matlab-爱代码爱编程

上一讲中,主要内容是基于单光子探测的多脉冲周期符合远距离测距,其本质就是利用一个通道里的多个脉冲周期累积出相关光子计数峰值,在通过判断求取出TOF值。在远距离系统中,激光器重频相对而言比较低,一般在Hz量级,对系统的实时性要求不高,因此通过在线的TCSPC对脉冲周期内的回波信号(包括噪声,暗计数,有效光子信号)进行计数统计,判断。但是在高重频、多通道的测距

fpga通过数码管实现电子时钟_共阳数码管fpga程序-爱代码爱编程

文章目录 前言一、原理1、共阴极数码管or共阳极数码管2、共阴极与共阳极的真值表 二、系统设计1、总体框图:2、模块调用3、模块原理图 三、源码1、计数模块2、数码管驱动模块3、顶层模块 四、