代码编织梦想

1.写在前面

很早就想写这么篇短文,和大家交流学习的些许经验和心得。但一直有各种干扰,致使一拖再拖,这阵子赶上米国佬过圣诞,咱也忙里偷闲,赶紧把这篇短文码掉。。嘿嘿。

2.为什么要写

群里时常有新人呈周期性的问诸如,“我该如何学HDL?”,“非阻塞和阻塞有啥区别?”之类的问题。在此,笔者扯两句自己的学习体会,对这些问题一并予以回答。

3.English required

英文资料不一定能培养出优秀的FPGA工程师,但拒绝英文资料的工程师至多是个合格的工程师。

如图所示,纵轴代表综合水平,横轴代表时间,理论决定了由经验带动的水平提升的上限。而如果能经常参考英文资料,上限可以适当提高,如图中的虚线。

在一开始便强调英文的重要性是因为学习FPGA第一手的资料是大量的官方资料,如tutorial,user guide,cock book,handbook,application note,white paper等。

读者不能指望永远参考翻译的二手资料吧,何况很多还都严重脱节行业发展现状。

4.FPGA不是单片机

关于这点,很多人反复强调,但遗憾的是,把FPGA当单片机玩的人仍前赴后继。笔者琢磨着有可能是入门方

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/qq_43416206/article/details/129678630

扯淡!C语言怎么可能被淘汰呢?-爱代码爱编程

点击上方“大鱼机器人”,选择“置顶/星标公众号” 福利干货,第一时间送达 我想通过这篇短文向你展示C伟大的一面。 作者 | Jakub Lukasiewicz,已获作者翻译授权 译者 | 弯月,责编 | 张文 出品 | CSDN(ID:CSDNnews) 以下为译文: “第一门编程语言学C靠谱吗?” “C还有未来吗?” “

嵌入式工程师有发展前途吗?-爱代码爱编程

嵌入式工程师有发展前途吗? 现在来看,无论是软件开发还是嵌入式等,都是青春饭,但是软件(java,安卓,ios等应用层)的工资都稍高于嵌入式。 但是嵌入式的门槛却非常高。 是否应…显示全部 ​   关注者 1,379 被浏览 1,046,366 已关注​写回答 ​邀请回答 ​好问题 22 ​4 条评论 ​分享 ​ 72 个回答

SystemVerilog系列实验1-爱代码爱编程

SYNOPSYS—SystemVerilog入门实验1 文章目录 SYNOPSYS---SystemVerilog入门实验1前言一、验证平台(环境)的透明度二、测试平台(环境)结构1. 通用验证结构2. 针对该实验的验证结构三、组件说明1. interface2. Test program3. Top level harness file四、总结

pyhton关于函数可视化的拙见-爱代码爱编程

校内的比赛,要求学习工具的编译,自习过一部分函数的内容,借助pyhton实现可视化处理 代码:          import matplotlib.pyplot as plt import numpy as np import mpl_toolkits.axisartist as axisartist import math r

verilog实现除法器运算-爱代码爱编程

verilog实现除法器运算 本文通过verilog实现了一个位宽参数可配置的除法运算模块 1, 设计思路 我们要计算 a_data/b_data = div_data ----remain_data; 🌿 确定位

diamond软件安装以及使用教程(内含黑色小脚丫的使用方法)-爱代码爱编程

目录 前言 Diamond安装教程 软件安装过程 获取许可证 许可证存放位置 Diamond的软件使用 新建一个工程 添加设计文件 波形仿真 黑色小脚丫使用教程 软件的使用建议(强烈推荐你阅读)   前言 本人是通信专业,所以先学习的数电,今年要开始学习模电了,这个软件后面也不会用了,而在最初的时候因为安装这个软件费了我好大的

zynq硬件调试-------day2-爱代码爱编程

ZYNQ硬件调试-------day2 1.ILA(Integrated Logic Analyzer ) 监控逻辑内部信号和端口信号;可以理解为输出。可单独使用 2.VIO(Virtual Input/Output ) 实

fpga纯verilog实现riffa的pcie通信,提供工程源码和软件驱动-爱代码爱编程

目录 1、前言2、RIFFA简介RIFFA概述RIFFA架构RIFFA驱动 3、vivado工程详解4、上板调试验证并演示5、福利:工程代码的获取 1、前言 PCIE是目前速率很高的外部板卡与CPU通信的

fpga基于riffa实现pcie采集ov5640图像传输,提供工程源码和qt上位机-爱代码爱编程

目录 1、前言2、RIFFA理论基础3、设计思路和架构4、vivado工程详解5、上板调试验证并演示6、福利:工程代码的获取 1、前言 PCIE是目前速率很高的外部板卡与CPU通信的方案之一,广泛应用于电脑主

使用chatgpt写的串口模块,verilog实现-爱代码爱编程

还是很震撼的,仅供参考: 由于串口模块的具体实现方式与所用的FPGA设备和外设有关,因此无法提供通用的Verilog代码。以下是一个简单的示例,仅供参考。 module uart( input clk, // 时钟信号  input reset, // 复位信号  input tx_en, // 发送使能信号  input [7:0] tx_data,

基于 zynq 的振动台控制器架构设计与算法实现-总体架构设计(二)-爱代码爱编程

3 振动台控制器架构设计与实现 如前所述, Zynq-7000 本身已经具有了独特的架构优势,得益于 Zynq 的 ARM+FPGA 架构,可以将控制器的核心功能分为软硬件两部分去开发,软硬件 协同完成对振动台的控制。对实时性和计算性能要求较高的采样、计算、控制等 部分交给硬件逻辑( PL

esp8266-nodemcu开发板-------开发板介绍(1)-爱代码爱编程

目录  认识ESP8266-NodeMCU开发板​编辑 GPIO编号与NodeMCU开发板引脚名的区别: ESP8266 GPIO编号与NodeMCU开发板引脚名的对应关系 可用引脚 电压电流限制 特殊引脚情况说明 上拉电阻/下拉电阻 模拟输入          通讯  认识ESP8266-N

fpga基于riffa实现pcie采集hdmi传输,提供工程源码和qt上位机_qt编写pcie上位机-爱代码爱编程

目录 1、前言免责声明 2、RIFFA理论基础3、设计思路和架构4、vivado工程详解5、工程移植说明vivado版本不一致处理FPGA型号不一致处理其他注意事项 6、上板调试验证并演示7、福利:

fpga控制dds产生1clk周期误差的分析(二)_dd所产生波形的误差-爱代码爱编程

前文简短的介绍了DDS的产生原理,其实相当的简单,所以也不需要多做解释,本文详细阐述一下在调试DDS的过程中所产生的一个bug 问题发现 正如上文所述,再用FPGA控制存储在rom中的波形信号输出之后,在上板之前,我们可

【28】verilog进阶 -爱代码爱编程

VL53 单端口RAM 1 思路 简简单单,读取存储器单元值操作即可 2 功能猜想版 说明: 下面注释就是我对模块端口信号 自己猜测的理解。 因为题目并没有说清楚,甚至连参考波形都没有给出。 唉,这就

verilog 学习笔记_verilog一行代码分两行写-爱代码爱编程

Verilog HDL的基本语法 模块 • Verilog HDL程序是由模块构成的。每个模块的内容都是嵌在module和endmodule两个语句之间。每个模块实现特定的功能。模块是可以进行层次嵌套的。正因为如此,才可以将大型的数字电路设计分割成不同的小模块来实现特定的功能,最后通过顶层模块调用子模块来实现整体功能。 • 每个模