代码编织梦想

一.实验准备

Quartus ||安装参考:Quartus II 13.1的安装及使用
Modelsim安装参考:Modelsim SE版本的安装及使用方法

二.D触发器

D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。

因此,D触发器在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即"0"和"1",在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。

D触发器有集成触发器和门电路组成的触发器。触发方式有电平触发和边沿触发两种,前者在CP(时钟脉冲)=1时即可触发,后者多在CP的前沿(正跳变0→1)触发。

​ D触发器的次态取决于触发前D端的状态,即次态=D。因此,它具有置0、置1两种功能。

对于边沿D触发器,由于在CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。

​ D触发器应用很广,可用做数字信号的寄存,移位寄存,分频和波形发生器等等。

三.门电路设计D触发器,进行仿真验证

1.新建工程

点击file->New Project Wizard…
请添加图片描述
这里使用AC620开发板

请添加图片描述
EDA工具的-simulation选中None,使用波形文件仿真
在这里插入图片描述
点击finish完成创建。

2.创建原理图文件

点击file->New->Block Diagram/Schematic File
请添加图片描述
输入nand2,右边会出现对应的两输入的与非门,依次添加4个与门nand2和1个非门not
请添加图片描述
通过工具栏的输入输出工具,以及连线工具设计出如下图
请添加图片描述
请添加图片描述
保存电路图

启动分析与综合,编译原理图文件,如出现错误,需修改原理图。
请添加图片描述
请添加图片描述

3.创建vwm格式波形文件

请添加图片描述

请添加图片描述
请添加图片描述
在这里插入图片描述
请添加图片描述
编译一下
请添加图片描述
选择仿真器编译一下
请添加图片描述
请添加图片描述
点击Start Compilation

没有警告
请添加图片描述
波形仿真
在这里插入图片描述

四.调用D触发器,进行仿真验证

1.新建工程

同上

2.创建方框文件

调用D触发器
请添加图片描述

绘制效果
请添加图片描述

3.编译原理图文件,查看硬件电路图

请添加图片描述
请添加图片描述

4.创建vwm格式波形文件及时序仿真

编辑波形图
在这里插入图片描述
仿真结果
在这里插入图片描述

五.Verilog语言写一个D触发器,进行仿真验证

1.新建工程

同上

2.新建Verilog文件

请添加图片描述

写入内容

//dwave是文件名
module bdlatch2(d,clk,q);
    input d;
    input clk;
    output q;

    reg q;

    always @ (posedge clk)//我们用正的时钟沿做它的敏感信号
    begin
        q <= d;//上升沿有效的时候,把d捕获到q
    end
endmodule


保存为bdlatch2.v并编译

3.查看生成的电路图

在这里插入图片描述

4.利用Verilog语言编写代码进行仿真测试

①打开ModelSim新建工程
请添加图片描述
②选择添加已有文件添加上面的.v文件
请添加图片描述

③新建一个文件
请添加图片描述
关闭Add items to the Project

双击bdlatch2_db.v文件

添加代码

`timescale 1ns/1ns // 定义仿真时间单位1ns和仿真时间精度为1ns
 
module work_tb(); // 测试模块
 
//parameter define
parameter T = 20; // 时钟周期为20ns
 
//reg define
reg sys_clk; // 时钟信号
reg sys_rst_n; // 复位信号
 
//wire define
wire [3:0] led;
 
//*****************************************************
//** main code
//*****************************************************
 
//给输入信号初始值
initial begin
	sys_clk = 1'b0;
	sys_rst_n = 1'b0; // 复位
	#(T+1) sys_rst_n = 1'b1; // 在第21ns的时候复位信号信号拉高
end
 
//50Mhz的时钟,周期则为1/50Mhz=20ns,所以每10ns,电平取反一次
always #(T/2) sys_clk = ~sys_clk;
 
//例化模块
work u0_work (
	.sys_clk (sys_clk ),
	.sys_rst_n (sys_rst_n),
	.led (led )
   );
 
endmodule

编译
请添加图片描述
④配置仿真环境

点击Simulate->Start Simulation…
请添加图片描述
右键点击bdlatch2_db选择Add Wave
在这里插入图片描述

六.参考博客

Quartus II 13.1的安装及使用
Modelsim SE版本的安装及使用方法
【Quartus II】D触发器

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_53594010/article/details/123512044

quartus ii中的dff元件(D触发器)中,prn和clrn引脚的含义-爱代码爱编程

首先: PRN是异步置位,可以将输出Q置为输入D,CLRN是异步复位,将输出Q置低 问:那PRN与CLK的作用不是一样了? 追答 不一样啊,PRN是异步控制端优先级比CLK高,CLK是寄存器的时钟。 dff真值表(优先级:clrn>prn>clk) 一、当Clrn=0时(不管Prn和clk是什么),Q=0 二、当Clrn=1时,异步复位

Quartus-II13.1三种方式实现D触发器及时序仿真-爱代码爱编程

文章目录 一、认识D触发器(一)D触发器结构(二)D触发器的波形图二、Quartus-II输入原理图及时序仿真(一)创建工程(二)创建方框文件(三)编译原理图文件(四)创建vwm格式波形文件(五)时序波形仿真三、Quartus-II调用D触发器及时序仿真(一)创建工程(二)创建方框文件(三)编译原理图文件(四)创建vwm格式波形文件及时序仿真四、Q

Quartus-II实现D触发器的三种方式-爱代码爱编程

文章目录 一、D触发器简介二、在 Quartus-II 中自己用门电路设计一个D触发器三、在 Quartus-II 中直接调用一个D触发器电路四、在 Quartus-II用Verilog语言写一个D触发器五、参考🔗 一、D触发器简介 D触发器是一种最简单的触发器,在触发边沿到来时,将输入端的值存入其中,并且这个值与当前存储的值无关。在两

Quartus实现D触发器及时序仿真-爱代码爱编程

目录 一、D触发器的简介二、 Quartus-II设计D触发器并验证三、Quartus-II调用D触发器并验证 一、D触发器的简介 D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。因此,D触发器在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即

Quartus-II入门--D触发器及时序仿真-爱代码爱编程

目录 一、Quartus-ll 的安装及使用(一)、Quartus II的下载(二)、Quartus II的安装(三)、Quartus II的注册二、认识触发器(一)D触发器结构(二)D触发器的波形图三、Quartus-II输入原理图及时序仿真(一)创建工程(二)创建方框文件(三)编译原理图文件(四)创建vwm格式波形文件(五)时序波形仿真四、Qu

Quartus-ll 采用三种方法实现 D 触发器功能仿真及时序波形仿真详细步骤-爱代码爱编程

目录 一、D触发器简介二、创建D触发器原理图并仿真2.1 新建工程2.2 创建原理图文件2.3 编译原理图文件2.4 创建 VWF 文件2.5 波形仿真三、调用D触发器并仿真3.1 新建工程3.2 创建原理图文件3.3 编译原理图文件3.4 创建 VWF 文件3.5 波形仿真四、用Verilog语言实现D触发器并仿真4.1 新建工程4.2 编写Ve

【Quartus-ll 】 D 触发器功能仿真及时序波形仿真的三种实现方式-爱代码爱编程

文章目录 一、创建原理图并仿真1、创建一个新工程2、创建原理图文件3、编译原理图4、创建VWF文件5、波形仿真二、调用D触发器进行仿真三、用Verilog语言实现并仿真四、总结 一、创建原理图并仿真 1、创建一个新工程 全部默认即可,除了选择开发板的型号 按照你手里的型号选择即可。 2、创建原理图文件 【File】→【New…】,选择B

Quartus II 实现D触发器及时序仿真-爱代码爱编程

目录 一、D触发器简介(一)D触发器概念:(二)D触发器的结构(三)D触发器波形图二、输入原理图及时序仿真(一)创建工程(二)创建框图文件(三)编译(四)创建波形文件三、调用D触发器及时序仿真(一)创建工程(二)创建框图文件(三)编译(四)创建波形文件四、用`Verilog`语言实现D触发器及时序仿真(一)新建工程(二)编写`Verilog`文件(

QUartus-II三种方式实现D触发器及时仿真-爱代码爱编程

Quartus输入原理图及时序仿真 创建工程 ①File - New Project Wizard ②天天写工程名称还有所在位置 ③选择芯片 ④点击next ⑤点击finish 创建方框文件 ①选择New ②选择Block Diagram/Schematic File ③选择nand2,2个输入的与非门,再添加四个and 和一个非门not ④添加

Quartus三种方式实现D触发器及时序仿真-爱代码爱编程

Quartus三种方式实现D触发器及时序仿真 一、D触发器(一)D触发器结构(二)D触发器的波形图二、Quartus输入原理图及时序仿真(1)创建工程(2)创建方框文件(三)编译原理图文件(四)创建VWM格式波形文件(五)时序波形仿真三、Quartus-||调用D触发器及时序仿真(1)创建工程(2)创建方框文件(3)编译原理图文件(4)创建VWM格

quartus设计D触发器仿真-爱代码爱编程

目录 一、创建工程二、创建原理图文件三、创建波形文件四、直接调用D触发器仿真 环境:quartus19.1精简版与配套modelsim与组件 一、创建工程 工程路径与名字 选择空项目 直接下一步 选择自己需要的芯片 直接下一步 这是创建工程的详细信息,点完成 这是刚刚创建好工程的页面 二、创建原理图文件 利用组件创建出下列原理图(n

Quartus-II实现 D 触发器及时序波形仿真-爱代码爱编程

文章目录 一、D触发器简介二、门电路设计1. 创建一个工程文件2.创建工程2.1【File】→【New Project Wizard…】2.2 点击【Next >】2.3选择芯片EP4CE10F17C8,Next2.4 Finish 一、D触发器简介 D触发器是一种最简单的触发器,在触发边沿到来时,将输入端的值存入其中,并且这个值与当前

时序逻辑电路设计与仿真-爱代码爱编程

一、实验目的 1、掌握时序逻辑电路的设计方法; 2、掌握基于 QuartusII 集成开发环境的时序逻辑电路设计流程; 3、熟练掌握VerilogHDL语言; 4、熟练掌握 DE2-115 开发板的使用方法; 二、实验任务及要求 1.任务一:计数器设计与仿真 设计一个模 200 的二进制加法计数器并在 quartusII5.1 中仿真。 2