代码编织梦想

第五章 外设接口通信,举一反三

14.千兆网口实现ICMP、UDP通信协议

      在实现了千兆网口的层层解析模块后,细心的同学不难发现在以太网顶层解析模块eth_receive_analy_top中通过udp_tx_en、arp_reply_en、icmp_reply_en三个使能信号分别去触发下游模块对ARP应答帧、UDP应答帧、ICMP应答帧的组报发送,同时整个工程的顶层模块用一个2秒定时器产生arp_ask_en使能信号来触发下游模块轮询对ARP请求帧的组报发送。

        显然在整个工程中我们还需要ARP帧、ICMP帧、UDP帧发送模块在收到不同的使能信号后,去组报并通过RGMII协议向PC端发送对应的应答帧或者请求帧,ARP帧的发送模块在上一个例程中已经实现了,在这里不重复赘述了,我们再依次去完成ICMP帧和UDP帧的发送模块,如表1所示是icmp_transfer模块信号列表。

信号列表

信号名

I/O

位宽

clk

I

1

rst_n

I

1

icmp_reply_en

I

1

local_ip_addr

I

32

pc_ip_addr

I

32

local_mac_addr

I

48

pc_mac_addr

I

48

ip_total_length

I

16

crc_data

I

32

icmpram_rd_dout

O

8

gmii_txd

O

8

gmii_tx_en

O

1

icmpram_rd_addr

O

12

icmp_crc_en

O

1

icmp_crc_clr

O

1

icmp_tx_done

O

1

表1 icmp_transfer模块信号列表

       如图1所示是ICMP发送模块的代码设计,也有几个地方值得注意:1. IP首部检验只需要检验20字节的IP首部,但ICMP首部校验需要检验4字节的ICMP首部和ICMP数据报;2. 代码设计上通过状态机的一个独立状态即CAL_CHECKSUM读取icmpram IP核的数据并进行ICMP首部校验;3. 要判断ICMP帧的数据位数是不是奇数,因为ICMP首部校验是以16位为基本单位进行校验运算,所以如果是奇数要在最后一字节的低8位补0;4. 注意以太网需要32位的CRC校验,故在发送完前导码后拉高icmp_crc_en即触发CRC校验模块计算,并发送完一个完整的帧后拉高icmp_crc_clr一个周期复位CRC校验模块。

图1 ICMP发送模块的代码设计

        如表2所示是udp_transfer模块信号列表,其实本模块和icmp_transfer模块的代码设计思想大同小异,只不过在计算UDP首部校验和的时候需要人为地去构造伪首部,并在计算过程中把伪首部也算到里面。

信号列表

信号名

I/O

位宽

clk

I

1

rst_n

I

1

udp_tx_en

I

1

local_ip_addr

I

32

pc_ip_addr

I

32

local_port_addr

I

16

pc_port_addr

I

16

local_mac_addr

I

48

pc_mac_addr

I

48

ip_total_length

I

16

crc_data                   

I

32

udpram_rd_dout

I

8

gmii_txd

O

8

gmii_tx_en

O

1

udpram_rd_addr

O

12

udp_crc_en

O

1

udp_crc_clr

O

1

udp_tx_done

O

1

表2 udp_transfer模块信号列表    

       在这里想着重说明一点,即不论是ICMP还是UDP发送模块,都要在发送帧之前计算好对应的IP首部校验、ICMP首部校验或者UDP首部校验,对于IP首部校验仅仅只是代入IP首部20个字节进行校验,而对于ICMP首部校验或者UDP首部校验需要校验首部和数据等,所以这也就是在整个例程中用RAM而不用FIFO作为数据收发缓存的原因,因为实际上在ICMP和UDP帧数据打报发送时,需要用到两次缓存中接收到的数据,一次是用来计算首部校验和,另一次是用来发送数据报,如图2所示是UDP发送模块的代码设计。

图2 UDP发送模块的代码设计

        如图3所示,在eth_transfer_top模块中把ARP帧、UDP帧、ICMP帧报文发送各模块都例化到一起即可,再在整个设计中例化eth_transfer_top顶层发送模块,实现代码的模块化复用。 这里需要根据上游模块发送的arp_ask_en、arp_reply_en、icmp_reply_en、udp_tx_en来决定发送ARP帧、ICMP帧还是UDP帧。  

图3 千兆网口实现ARP帧、UDP帧、ICMP帧报文发送各模块顶层文件的例化

       如图4所示是千兆网口实现ARP、ICMP、UDP通信协议整个例程顶层文件的例化,在这里我们把前面完成的以太网各层解析模块eth_receive_analy_top和以太网不同帧发送模块eth_transfer_top,ICMP和UDP两个外部伪双口RAM等相关信号都例化到了一起。

      在整个例程的顶层模块中用了一个外扩LED二极管用来直观地指示MDIO接口,PC端和开发板端自协商是否正确,把PC端的IP地址定义为192.168.0.3,而把豌豆开发板端的IP地址定义为192.168.0.2,MAC地址定义位00.11.22.33.44.55。

图4 千兆网口实现ARP、ICMP、UDP通信协议顶层文件的例化

       同样的在最后我们也来检验一下ARP和ICMP协议是否实现,如图5所示,因为没有安装“小兵以太网测试仪”,所以就直接通过PC端的DOS命令来实现触发ARP和ICMP协议。

       上个例程中也有介绍在DOS命令下先输入arp –d,这条命令代表删除PC端的所有以太网ARP缓存表,接着输入ping 192.168.0.2,在这里是通过ping命令来间接发起ARP请求,也触发了ICMP请求,大家可以清楚地看到在这个例程中因为我们实现了ICMP协议,所以PC端先显示ping操作正常。

图5 PC端发起ARP和ICMP请求

       如图6 所示在DOS命令下首先输入arp –a,即可查询PC端的所有以太网ARP缓存表,大家可以清楚地看到PC端已成功通过ARP协议获取到开发板MAC地址,因为我们已添加了上个例程中ARP协议方面的时序逻辑。

图6 PC端获取开发板MAC地址成功

       如图7所示,通过网口助手发送UDP协议帧,大家请把网口助手的本地IP、端口号和开发板IP、端口号先配置正确即和程序上相对应,然后再发送一报UDP帧,可以清楚地看到网口助手上打印出一条豌豆开发板上返回的数据,即UDP协议成功实现。

图7 网口助手下发送UDP协议帧

       如图8所示,大家打开Wireshark软件,并选择抓包本地连接,按下开发板的按键,如图9在Wireshark下即可抓取到PC端向开发板发送的ARP请求报文后,开发板向PC端回复ARP应答报文,接着如图10所示在 Wireshark下即可抓取到PC端向开发板发送ICMP请求报文后,开发板向PC端回复ICMP应答报文,最后如图11所示在 Wireshark下即可抓取到PC端向开发板发送UDP报文后,开发板向PC端相同数据的UDP报文。

图8 Wireshark界面下选择抓包本地连接

图9 Wireshark下抓取到PC端向开发板发送的ARP请求报文

图10 Wireshark下抓取到PC端向开发板发送的ICMP请求报文

图11 Wireshark下抓取到PC端向开发板发送的UDP报文

      同时笔者在很多核心模块下都添加了ILA IP核,这里只给出了ARP帧、ICMP帧、UDP帧发送的ILA波形图,实际上感兴趣的同学还可以在线调试太网首部解析、IP首部解析、ARP数据解析、UDP数据解析、ICMP数据解析等模块的波形。

    如图12到图14所示是分别是在线调试下的ARP帧发送的ILA波形图、ICMP帧发送的ILA波形图和UDP帧发送的ILA波形图。

 图12 ARP帧发送的ILA波形图

图13 ICMP帧发送的ILA波形图

图14 UDP帧发送的ILA波形图

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/wandou0511/article/details/126922643

基于fpga和万兆网的gige vison设计方案__hello_panda_的博客-爱代码爱编程_gige vision zynq

                                     基于FPGA和万兆网的GigE Vison设计方案                                                                                                                    

利用FPGA实现UDP网络高速可靠传输-爱代码爱编程

利用FPGA实现UDP网络高速可靠传输 FPGA数据处理中常用的一些IP核有网口ETH核、DDR核、CORDIC核、DDS核、加法器、乘法器、滤波器IP、FFT等IP核,这些IP核熟练使用能减少很多工作量和提高代码的稳定性。这些IP核的配置比较简单,但是如何正确使确是个很大的问题。最近一直在用网口、DDR、DDS、CORDIC这些IP。一下子很难把这些I

千兆以太网在国产FPGA(智多晶)上的实现-爱代码爱编程

** 千兆以太网在国产FPGA(智多晶)上的实现(一) 闲来无事,想了想写点东西,顺带着记录一下自己学习的过程,其中千兆以太网这个模块是之前的一个项目任务,已经实现,但是想着自己在这里面也遇到过许多坑,所以写点东西,避免后来者遇到相同的问题,以后尽量避免事后总结,要做到边做边总结; 在2020年国产化的大趋势下,国产芯片开始发展,在这样的时代背景下,

ZC706千兆网测试(ZYNQ,FreeRTOS,Echo,消息队列,QSPI启动,FSBL固化,lwIP,TCP,RGMII,Xilinx)-爱代码爱编程

初学 FreeRTOS: (1)使用 ZC706 开发板测试 PS 端网口(Echo,lwIP协议栈); (2)配合操作 PL 端 LED(直接驱动和使用消息队列两种方式); (3)PS 端串口 UART 打印调试信息; (4)QSPI 固化(Dual Quad SPI Parallel 8 bit模式)。 ZC706中,MAC 控制器与 PHY 通过

ZYNQ使用10G Ethernet Subsystem万兆网光通信-爱代码爱编程

一,10G Ethernet Subsystem IP原理和硬件定义 uiudp_stack是一个“黑盒子”负责处理 ARP 以及 UDP IP 通信协议,上层协议是用户实际收发的有效数据部分,“10G Ethernet Subsystem IP"核负责处理以太网数据通过GTX高速串行接口传输。  SFP 屏蔽笼插入千兆 SFP 转 RJ45 电口

FPGA自学11——以太网通信-爱代码爱编程

一、以太网简介         以太网(Ethernet)是当今最通用的通信协议标准,他规定了包括物理层的连线、电子信号、介质访问协议的内容。         优点:成本低、通信速率高、抗干扰性强         标准以太网:10Mbit/S        快速以太网:100Mbit/S        千兆以太网:1000Mbit/S1.1、以太网接

努力更新技术博客,赠人玫瑰手留余香-爱代码爱编程

       一晃眼已经离开学校工作了第四个年头,从懵懵懂懂地走上嵌入式研发这条道路,到一路上磕磕绊绊不断在成长和收获,写一篇博客给曾经的自己,也写给更多在校读书的同学们和准备在这条道路上一起深耕的朋友们。        可能大家都会有这种类似的感觉,在学校的时候会接触到很多网络学习资料,现在学习资源比起几年前,甚至十几年前可以说丰富了很多,各种琳琅满目

fpga 20个例程篇:13.千兆网口实现arp通信协议(上)_青青豌豆的博客-爱代码爱编程

第五章 外设接口通信,举一反三 13.千兆网口实现ARP通信协议       在以太网中,一个主机和另一个主机进行通信前,首先就需要知道其目的主机的MAC地址才可以进行正常通信,而目的MAC地址的获取正是由ARP协议所实现的。       其实关于以太网的知识,在工作之前,笔者对以太网的认识仍停留在本科时期谢希仁版的“计算机网络”和408计算机统考中

fpga 20个例程篇:13.千兆网口实现arp通信协议(下)_青青豌豆的博客-爱代码爱编程

第五章 外设接口通信,举一反三 13.千兆网口实现ARP通信协议         完成了RGMII接口和GMII接口转换的代码设计,接下来我们来设计以太网帧的报文解析模块,为了兼顾下一个例程中ICMP和UDP报文的解析,我们把ARP报文解析分成以太网首部解析和ARP数据解析两部分,这样以太网首部解析模块还可以再用到下一个例程当中提高了代码的复用性,同时

fpga 20个例程篇:14.千兆网口实现icmp、udp通信协议(上)_青青豌豆的博客-爱代码爱编程

第五章 外设接口通信,举一反三 14.千兆网口实现ICMP、UDP通信协议        UDP是一种面向无连接的传输层协议,属于TCP/IP协议族的一种,UDP具有消耗资源少、通信效率高等优点,一般性地用来传输音频或者视频等对实时性要求高的场合。         ICMP是TCP/IP协议族的一个IP层子协议,包含在IP数据报里,主要用于IP主

硬件工程师经常犯的几个典型错误_m0_61687959的博客-爱代码爱编程

完成一个项目设计,需要考虑的因素很多。对于硬件工程师来说,一不留神就会犯错,以下总结了一些在系统成本、低功耗设计、信号完整性、可靠性设计上容易陷入的误区,供大家参考。 节约成本 现象一 这些拉高/拉低的电阻用多大的阻值关系不大,就选个整数5kΩ吧 市场上不存在5kΩ的阻值,最接近的是4.99kΩ(精度1%),其次是5.1kΩ(精度5

system verilog rand randc constraint randomize_踩坑记录的博客-爱代码爱编程

对类中变量随机 用 rand randc 修饰类中变量bit等: rand rand修饰符:rand 修饰的变量,每次随机时,都在取值范围内随机取一个值,每个值被随机到的概率一样 // y的取值范围为0~255,每次

uvm实战笔记(二)_搬砖小张的博客-爱代码爱编程

第二章 一个简单的UVM验证平台 2.1 DUT 本章的DUT(Design Under Test,待测设计,也可叫做DUV,Design Under Verification)定义如下: //my_dut.v mo

fpga20个例程专栏介绍_fpga例程-爱代码爱编程

             通过“FPGA基础知识”专栏的实践学习,相信朋友们已经踏上了有趣的FPGA学习之路,掌握了一些必备的FPGA基础知识、开发工具、代码技巧等等,是一个进阶提升的好契机,这里闲话不多说,开门见山笔者结合实际的项目工程经验,精心准备了20个经典的例程。        从例程选取、章节安排、文档说明、代码规范、工程实践等多个方面,笔者

fpga以太网通信实验-爱代码爱编程

一、以太网的分类 1.标准以太网:10Mbit/S 2.快速以太网:100Mbit/S 3.千兆以太网:1000Mbit/S 常用的芯片RTL8201,这个芯片通讯速率支持10M/100Mbit两种速度。以太网的接口类型有

fpga 20个例程篇:12.千兆网口实现mdio接口读写_青青豌豆的博客-爱代码爱编程

第五章 外设接口通信,举一反三 12.千兆网口实现MDIO接口读写        千兆网口是我们日常生活中经常见到的外设接口,在后面三个例程中,我们将会一起去动手实现千兆网口实现MDIO接口读写、ARP通信协议、ICMP和UDP通信协议等,这三个例程有一定的难度,通过实际分析、动手编码、模块划分、上板调试大家可以学到很多内容,涵盖了MDIO协议、报文层

时钟有关概念汇总_保持时间什么工艺角最宽松-爱代码爱编程

文章目录 Source概念模型 Source 正点原子 FPGA 静态时序分析 与时序约束 V2.2 本文是正点原子的学习笔记,在此表示感谢。 概念 时钟抖动,英文名叫做 Clock Jitte