代码编织梦想

1. 概念的理解

以上升沿锁存为例,建立时间(Tsu)是指在时钟翻转之前输入的数据D必须保持稳定的时间。如下图所示,一个数据要在上升沿被锁存,那么这个数据就要在时钟上升沿的建立时间内保持稳定。
在这里插入图片描述
在这里插入图片描述

建立时间是对触发器而言,以能够稳定准确的锁存或者触发为目的,对其输入数据信号保持稳定的时间要求。

2. 简单的实例

以两个级联寄存器为例。
在这里插入图片描述

时钟通过CLK PIN管脚输入,到达第一个寄存器的时钟端口经历的时延为Tclk1,到达第二个寄存器的线上时延为Tclk2。
第一个寄存器从时钟进入时钟端口驱动寄存器到寄存器输出数据的延时为Tco,Tco为寄存器内部的时延。
数据从第一个寄存器Q端口输出,经历Tdata延时到达第二个寄存器的数据输入端。Tdata可能包含路径上的延时以及引入的组合逻辑电路导致的延时。
时序图如下图所示:
在这里插入图片描述

图中,紫色区域为Tsu需要的时间,蓝色的区域为富余的建立时间(Tsu slack)。
如果由于Tdata时间较长,使得Tsu slack为0,但Reg2的D输入口数据仍然比下个时钟上升沿提前Tsu时间到达,则Reg2 寄存器仍然能稳定的采集到输入数据“1”,如下图所示。
在这里插入图片描述

如果由于组合逻辑过于复杂使得Tdata时间过长,使得Tsu slack为负值,Reg2的D输入口数据比下个时钟上升沿提前到达的时间不足Tsu时长,则Reg2 寄存器不能稳定的采集到输入数据“1”,而是个亚稳态,如下图所示。
在这里插入图片描述

3. 时序计算公式

由以下时序图,我们可以得到建立时间的计算公式:
在这里插入图片描述

Tsu_slack = Tcycle + Tclk2 - Tsu - (Tclk1 + Tco + Tdata);
其中Tcycle为CLK一个时钟周期的时间。
例:如果已知Tcycle为10ns(即工作时钟100MHz)、Tclk1为3ns、Tclk2为2ns、Tdata为3ns、Tsu为0.5ns、Tco为1ns,则求Tcu_slack为多少?并计算该电路最高能工作的时钟频率是多少?
答:通过套用上面的公式可得
Tsu_slack = 10 + 2 - 0.5 - (3 + 1 + 3) = 4.5 ns
如果想计算该电路最高能工作的时钟频率,则可以将Tsu_slack设为0,反求下Tcycle:
Tcycle = 0 - 2 + 0.5 + (3 + 1 + 3) = 5.5 ns (即181.8 MHz)

4. 后续

后面我们还会通过vivado工程实例来介绍如何查看建立时间的时序报告,感兴趣的伙伴请关注我们微信公众号 “图灵研究院” 吧。
在这里插入图片描述

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_44007264/article/details/127144876

timequest静态时序分析学习笔记——基本概念_风笛的守望的博客-爱代码爱编程

第一章 基本概念 1.1延迟因素   第一,FPGA芯片内部的一些固有延迟,包括建立时间Tsu、保持时间Th和数据存入寄存器到输出管脚时间Tco,这些时间是由FPGA芯片决定的,不同的FPGA芯片这些延迟时间不一样。(如图1)   第二,路径延迟,包括时钟路径延迟和数据路径延迟,这两种延迟都与设计的逻辑有关,而最主要的延迟还是数据延迟,所以好的代码设

时序基本介绍——jitter与skew区别-爱代码爱编程

在时序分析当中,有些基础概念还是要认真了解的,时钟抖动(Clock Jitter)和时钟偏移(Clock Skew)经常容易混淆。 时序设计中,对于时钟的要求是非常严格的,因此FPGA中也有专用的时钟管脚,对应着专用的时钟区域BUFG BUFH BUFR。但是实际当中信号并没有那么完美,会出现时钟抖动(Clock Jitter)和时钟偏移(Clock S

静态时序分析——基础概念-爱代码爱编程

一、简述 静态时序分析是检查系统时序是否满足要求的主要手段。以往时序的验证依赖于仿真,采用仿真的方法,覆盖率跟所施加的激励有关,有些时序违例会被忽略。此外,仿真方法效率非常的低,会大大延长产品的开发周期。静态时序分析工具很好地解决了这两个问题。它不需要激励向量,可以报出系统中所有的时序违例,并且速度很快。但并没有进行系统功能上的验证。 上图我们可以

TDengine时序数据库第一篇——基本介绍及安装-爱代码爱编程

一、来吧,展示,按照博客潜规则,先来一段简介(可忽略):        TDengine是涛思数据专为物联网、车联网、工业互联网、IT运维等设计和优化的大数据平台。除核心的快10倍以上的时序数据库功能外,还提供缓存、数据订阅、流式计算等功能,最大程度减少研发和运维的复杂度,且核心代码,包括集群功能全部开源。 二、官网copy的性能测试对比数据(与Inf

时序约束——相关基础概念-爱代码爱编程

时序路径 典型的时序路径有4类: 建立保持时间 典型的时序模型如下图所示,一个完整的时序路径包括源时钟路径、数据路径和目的时钟路径,也可以表示为触发器+组合逻辑+触发器的模型。Tclk ≥ Tco + Tlogic + Trouting + Tsetup - Tskew 其中,Tco为发端寄存器时钟到输出时间;Tlogic为组合逻辑延迟;Trout

时间序列分析1——时间序列的概念及创建-爱代码爱编程

时间序列分析1——时间序列的创建 文章目录 时间序列分析1——时间序列的创建前言一、时间序列及其观察值序列1. 时间序列的定义:2. (序列长度为n的)观察值序列:二、统计时序分析1. 频域分析方法:2. 时域分析方法三、用Python创建时间序列0.导入库1.pd.date_range()方法2.设置series或dataframe的索引为时间

delay 芯片时序output_FPGA静态时序分析——IO口时序(Input Delay /output Delay)-爱代码爱编程

在高速系统中FPGA时序约束不止包括内部时钟约束,还应包括完整的IO时序约束和时序例外约束才能实现PCB板级的时序收敛。因此,FPGA时序约束中IO口时序约束也是一个重点。只有约束正确才能在高速情况下保证FPGA和外部器件通信正确。 1.2  FPGA整体概念 由于IO口时序约束分析是针对于电路板整个系统进行时序分析,所以FPGA需要作为一个整体分

FPGA学习笔面试记录(四)——时序分析-爱代码爱编程

目录 时序分析概念 1.什么是建立时间和保持时间? 2.为什么D触发器要满足建立时间和保持时间? 3.如何修复建立时间和保持时间 4.什么是流水线? 5.什么是流水线冒险? 6.什么是关键路径?如何减小? 7.如何提高系统运行速度? 8.阐述系统最快时钟频率和流水线设计思想 9.为什么说时钟越快,时序越容易违规?为什么工艺越高,时钟就可

静态时序分析(STA)—— 基本概念-爱代码爱编程

目录 1、时序弧 1.1、单元延时 1、电平转换延时  2、逻辑门延时 2、建立、保持时间 2.1、建立时间  2.2、保持时间  3、时序路径  4、时钟域(CD)  5、操作条件 参考说明 前面几篇讲述了 Tcl 语言相关的学习内容,为STA的学习做了基础性的准备。从本篇开始,就学习与STA相关的知识。 一起加油!

fpga——静态时序分析(sta)_halo_zjq的博客-爱代码爱编程

FPGA时序分析与时序约束 什么是静态时序分析(STA) 首先,静态时序分析分析是基于同步电路设计模型的。静态时序分析STA对于同步时序电路必不可少,通过静态时序分析,一方面可以增加系统稳定性减少亚稳态的发生,另一方

芯动力——硬件加速设计方法 学习笔记 7.静态时序分析1_如何减少寄存器ck到q端的延时-爱代码爱编程

静态时序分析 为何要进行静态时序分析? 在综合阶段,我们需要已经进行了时序约束,也会产生一个时序分析的报告,但是当时也提到,由于我们没有生成时钟树,也没有进行布局布线的操作,所以这个时序分析的结果是不精确的,所以在我们的

fpga静态时序分析——io口时序(理论推导)_fpga io输出 阻态-爱代码爱编程

1.1  概述   在高速系统中FPGA时序约束不止包括内部时钟约束,还应包括完整的IO时序约束和时序例外约束才能实现PCB板级的时序收敛。因此,FPGA时序约束中IO口时序约束也是一个重点。只有约束正确才能在高速情况下保证FPGA和外部器件通信正确。 1.2  FPGA整体概念   由于IO口时序约束分析是针对于电路板整个系统进行时序分析,所以FP

时序分析及约束实操(vivado ide)——建立时间检查_primetime 时钟约束检查-爱代码爱编程

目录 前言 1、知识回顾 2、实际操作 2.1 工程相关配置 2.2 模块源码 2.3 建立IO约束 2.4 建立时序约束 2.4.1 主时钟 参考说明 前言 《Tcl&STA》专栏主要是学习了Tcl一些基本语法以及STA各种理论知识,包括时序分析的目的和各种概念,以及时序报告的分析等等。但是总有一个问题感

时序分析基础(3)——output_delay_input delay和output delay如何计算-爱代码爱编程

output_delay模型   FPGA提供时钟和数据,经过PCB走线,进入上游器件的寄存器。同input_delay的时序分析一样,对于output_delay也是从建立时间和保持时间来分析的。   上图延时中FPG

ic学习笔记10——静态时序分析_静态时序分析11 精度提升-爱代码爱编程

静态时序分析对于同步时序电路必不可少,通过静态时序分析,一方面可以增加系统的稳定 性减少亚稳态的发生,另一方面可以最大限度的提升系统工作频率。因此,对静态时序相关 概率的理解,以及掌握静态时序分析的方法是具有重要意义的。