代码编织梦想

一、D触发器的简介

  1. D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。
  2. 因此,D触发器在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即"0"和"1",在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。
  3. D触发器有集成触发器和门电路组成的触发器。触发方式有电平触发和边沿触发两种,前者在CP(时钟脉冲)=1时即可触发,后者多在CP的前沿(正跳变0→1)触发。
  4. D触发器的次态取决于触发前D端的状态,即次态=D。因此,它具有置0、置1两种功能。
  5. 对于边沿D触发器,由于在CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。
  6. D触发器应用很广,可用做数字信号的寄存,移位寄存,分频和波形发生器等等。

在这里插入图片描述

二、 Quartus-II设计D触发器并验证

新建一个项目
在这里插入图片描述
选择对应的版本
在这里插入图片描述
新建一个文件
在这里插入图片描述
在文件中添加nand2、input、output等 并用导线连接
在这里插入图片描述
在这里插入图片描述
选择将图另存为
在这里插入图片描述
然后选择BTI功能
在这里插入图片描述
分析编译
在这里插入图片描述可以看到图像
在这里插入图片描述
再选择新建一个文件
在这里插入图片描述
选择inset
在这里插入图片描述
设置如下在这里插入图片描述在这里插入图片描述

选择CLK设置波形
在这里插入图片描述
设置Q、Q_n的波形
在这里插入图片描述
在这里插入图片描述
进行仿真

在这里插入图片描述

三、Quartus-II调用D触发器并验证

创建工程同上,调用D触发器
在这里插入图片描述

添加各组件连接后
在这里插入图片描述
RTL视图
在这里插入图片描述
设置好的波形图
在这里插入图片描述

时序仿真结果图
在这里插入图片描述

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/m0_46145395/article/details/115353266

三态门、项目和双级d型触发器的仿真分析-爱代码爱编程

1.三态门 下面是程序、等效图和仿真结果。 上图为三态门的verilog程序;可以看出有一个变量是inout格式; 同时通过赋值 条件语句对dio赋值; 上图就是该三态门的等效电路,其中一个dio属于高阻态; 仿

Quartus-II13.1三种方式实现D触发器及时序仿真-爱代码爱编程

文章目录 一、认识D触发器(一)D触发器结构(二)D触发器的波形图二、Quartus-II输入原理图及时序仿真(一)创建工程(二)创建方框文件(三)编译原理图文件(四)创建vwm格式波形文件(五)时序波形仿真三、Quartus-II调用D触发器及时序仿真(一)创建工程(二)创建方框文件(三)编译原理图文件(四)创建vwm格式波形文件及时序仿真四、Q

Quartus使用基础——D触发器仿真与时序波形验证-爱代码爱编程

Quartus使用基础——D触发器仿真与时序波形验证 一、D触发器——门电路设计1.1 新建文件夹1.2 创建工程1.3 门电路设计D触发器1.4 创建VWF文件:时序仿真二、D触发器——直接调用2.1 新建文件夹&同1.12.2 创建工程&同1.22.3 直接调用D触发器2.4 创建VWF文件:时序仿真&同1.4三、D触发

Quartus-II实现D触发器的三种方式-爱代码爱编程

文章目录 一、D触发器简介二、在 Quartus-II 中自己用门电路设计一个D触发器三、在 Quartus-II 中直接调用一个D触发器电路四、在 Quartus-II用Verilog语言写一个D触发器五、参考🔗 一、D触发器简介 D触发器是一种最简单的触发器,在触发边沿到来时,将输入端的值存入其中,并且这个值与当前存储的值无关。在两

Quartus-ll 采用三种方法实现 D 触发器功能仿真及时序波形仿真详细步骤-爱代码爱编程

目录 一、D触发器简介二、创建D触发器原理图并仿真2.1 新建工程2.2 创建原理图文件2.3 编译原理图文件2.4 创建 VWF 文件2.5 波形仿真三、调用D触发器并仿真3.1 新建工程3.2 创建原理图文件3.3 编译原理图文件3.4 创建 VWF 文件3.5 波形仿真四、用Verilog语言实现D触发器并仿真4.1 新建工程4.2 编写Ve

【Quartus-ll 】 D 触发器功能仿真及时序波形仿真的三种实现方式-爱代码爱编程

文章目录 一、创建原理图并仿真1、创建一个新工程2、创建原理图文件3、编译原理图4、创建VWF文件5、波形仿真二、调用D触发器进行仿真三、用Verilog语言实现并仿真四、总结 一、创建原理图并仿真 1、创建一个新工程 全部默认即可,除了选择开发板的型号 按照你手里的型号选择即可。 2、创建原理图文件 【File】→【New…】,选择B

Quartus II 实现D触发器及时序仿真-爱代码爱编程

目录 一、D触发器简介(一)D触发器概念:(二)D触发器的结构(三)D触发器波形图二、输入原理图及时序仿真(一)创建工程(二)创建框图文件(三)编译(四)创建波形文件三、调用D触发器及时序仿真(一)创建工程(二)创建框图文件(三)编译(四)创建波形文件四、用`Verilog`语言实现D触发器及时序仿真(一)新建工程(二)编写`Verilog`文件(

QUartus-II三种方式实现D触发器及时仿真-爱代码爱编程

Quartus输入原理图及时序仿真 创建工程 ①File - New Project Wizard ②天天写工程名称还有所在位置 ③选择芯片 ④点击next ⑤点击finish 创建方框文件 ①选择New ②选择Block Diagram/Schematic File ③选择nand2,2个输入的与非门,再添加四个and 和一个非门not ④添加

Quartus三种方式实现D触发器及时序仿真-爱代码爱编程

Quartus三种方式实现D触发器及时序仿真 一、D触发器(一)D触发器结构(二)D触发器的波形图二、Quartus输入原理图及时序仿真(1)创建工程(2)创建方框文件(三)编译原理图文件(四)创建VWM格式波形文件(五)时序波形仿真三、Quartus-||调用D触发器及时序仿真(1)创建工程(2)创建方框文件(3)编译原理图文件(4)创建VWM格

quartus设计D触发器仿真-爱代码爱编程

目录 一、创建工程二、创建原理图文件三、创建波形文件四、直接调用D触发器仿真 环境:quartus19.1精简版与配套modelsim与组件 一、创建工程 工程路径与名字 选择空项目 直接下一步 选择自己需要的芯片 直接下一步 这是创建工程的详细信息,点完成 这是刚刚创建好工程的页面 二、创建原理图文件 利用组件创建出下列原理图(n

Quartus-II实现 D 触发器及时序波形仿真-爱代码爱编程

文章目录 一、D触发器简介二、门电路设计1. 创建一个工程文件2.创建工程2.1【File】→【New Project Wizard…】2.2 点击【Next >】2.3选择芯片EP4CE10F17C8,Next2.4 Finish 一、D触发器简介 D触发器是一种最简单的触发器,在触发边沿到来时,将输入端的值存入其中,并且这个值与当前

时序逻辑电路设计与仿真-爱代码爱编程

一、实验目的 1、掌握时序逻辑电路的设计方法; 2、掌握基于 QuartusII 集成开发环境的时序逻辑电路设计流程; 3、熟练掌握VerilogHDL语言; 4、熟练掌握 DE2-115 开发板的使用方法; 二、实验任务及要求 1.任务一:计数器设计与仿真 设计一个模 200 的二进制加法计数器并在 quartusII5.1 中仿真。 2